Optimice RTL y el software con resultados rápidos de verificación de energía para diseños de mil millones de puertas

Nodo de origen: 994042

En cada chip, la potencia es un problema progresivo por resolver. Los diseñadores han tenido que confiar durante mucho tiempo en una combinación de experiencia y conocimiento para abordar este dilema, por lo general, tienen que esperar hasta la disponibilidad del silicio para realizar análisis de energía con cargas de trabajo de software realistas. Sin embargo, esto es demasiado tarde en el juego, ya que se convierte en una propuesta costosa y que requiere mucho tiempo para resolver los problemas de energía posteriores al silicio. En esta publicación de blog, explicaré cómo puede lograr resultados de verificación de energía procesables en horas en diseños de mil millones de puertas desde el principio. Con esta capacidad, puede encontrar las regiones críticas y las ventanas de tiempo para la potencia máxima y, por lo tanto, optimizar su RTL y su software.

La realización de análisis de potencia después del silicio presenta el riesgo de pasar por alto situaciones críticas de alta potencia, lo que puede crear problemas importantes de costos y adopción de productos. ¿Cuáles son las desventajas de equivocarse con el poder? Un cliente podría optar por ir con otro proveedor de chips si un diseño no alcanza el objetivo de potencia prometido. O bien, un diseñador de sistemas puede verse obligado a reducir el rendimiento del chip para mantener la envolvente de potencia deseada, una compensación desfavorable en aplicaciones que dependen de un rendimiento informático rápido. En esta publicación, que se publicó originalmente en el Blog "Del silicio al software", analizaremos más de cerca algunas áreas de aplicación de SoC donde un análisis de potencia preciso es esencial.

GPU

Las aplicaciones de GPU tradicionales son entidades conocidas en comparación, pero esto no facilita la tarea de análisis de energía. Considere una GPU diseñada para una computadora portátil. Puede ejecutar análisis de potencia en determinados puntos de medición durante un período de tiempo. Sin embargo, con potencialmente hasta 10 millones de ciclos de reloj, este enfoque claramente no es exhaustivo, por lo que los diseñadores tradicionalmente han tenido que confiar en sus mejores estimaciones de potencia.

Inteligencia artificial

En los chips de inteligencia artificial (IA), las aplicaciones, así como la pila de software para aplicaciones y arquitecturas de IA, son un territorio nuevo, lo que plantea más desafíos desde la perspectiva de la generación de perfiles de potencia. Sin embargo, las recompensas potenciales de optimizar las aplicaciones de inteligencia artificial para obtener energía son excelentes. La eficiencia energética, después de todo, es una ventaja que a los diseñadores de chips de IA les encantaría poder promocionar, junto con un rendimiento informático rápido.

5G

Otra aplicación de energía crítica es 5G, que se trata de alto rendimiento y baja latencia. Las aplicaciones 5G implican una gran cantidad de procesamiento paralelo y altas frecuencias, pero, con una cantidad limitada de energía disponible, deben optimizarse para funcionar de manera eficiente. Esto es particularmente cierto para los chips de cabezales de radio.

Centros de datos

Los centros de datos, especialmente los centros de datos de hiperescala, se construyen sobre chips ultrarrápidos y energéticamente eficientes que pueden ayudar a maximizar el rendimiento total del sistema. Con miles de millones de puertas junto con cargas de trabajo de software complejas, los SoC del centro de datos vienen con requisitos de activación de software y verificación particularmente exigentes.

Móvil

Dado su factor de forma compacto y la larga duración deseada de la batería, los dispositivos móviles como los teléfonos inteligentes no pueden permitirse el uso de chips que consuman demasiada energía. Si bien sus cargas de trabajo han aumentado en complejidad, estos dispositivos, incluso las GPU que consumen mucha energía, aún deben poder acomodar estas cargas de trabajo de manera eficiente.

Cómo un emulador de potencia rápida resuelve el desafío de creación de perfiles de potencia

A medida que cumplir con los requisitos de energía dinámica se vuelve cada vez más difícil, los diseñadores de chips a menudo consideran que la energía es su principal desafío de verificación. La verificación de potencia dinámica requiere encontrar la potencia máxima. Sin embargo, los eventos críticos de potencia máxima son impulsados ​​por cargas de trabajo de software reales. La simulación puede identificar la potencia máxima que cae tanto por encima como por debajo del presupuesto de energía, pero en diseños de mil millones de puertas, solo podrá captar los eventos críticos reales por pura suerte, ya que las ventanas que un enfoque basado en simulación puede considerar también son demasiadas. pequeña. Una herramienta de firma proporcionaría mediciones de potencia precisas, pero si se utiliza en la ventana de tiempo incorrecta, el diseñador no podrá determinar qué ventana tiene la mayor potencia.

La identificación de errores de bajo consumo requiere la ejecución de cargas de trabajo de software. Las pequeñas pruebas no expondrán errores de energía realistas impulsados ​​por cargas de trabajo. Lo que se necesita es:

  • Firmware real y sistema operativo en las pruebas previas al silicio
  • Emulación para verificar la potencia de millones o miles de millones de ciclos
  • Verificación de potencia previa al silicio para la depuración, que no es posible con el silicio real

La emulación de alta velocidad permite a los equipos de diseño realizar la verificación de energía antes en el ciclo de diseño, para que puedan minimizar los riesgos de errores de energía y los objetivos de energía del SoC no cumplidos. De hecho, un emulador de potencia rápido puede ser la respuesta al dilema de verificación de potencia de hardware / software, proporcionando una mejor precisión en una ventana más amplia. El emulador ideal podría ejecutar múltiples iteraciones al día en diseños grandes con cargas de trabajo realistas. Al hacerlo, los diseñadores de chips pueden obtener información útil sobre el perfil de potencia de sus diseños.

Información práctica en horas

Con cargas de trabajo de SoC multimillonarias en mente, Synopsys ha presentado su nuevo sistema de emulación Synopsys ZeBu® Empower para verificación de potencia de hardware / software. Ofreciendo el máximo rendimiento informático, Empoderar a ZeBu puede realizar múltiples iteraciones al día, proporcionando resultados procesables en horas. Basándose en los perfiles de potencia resultantes, los diseñadores de hardware y software pueden, desde el principio, identificar áreas en las que pueden mejorar la potencia dinámica y de fuga. ZeBu Empower utiliza Servidor ZeBu Tecnología de hardware de emulación rápida para proporcionar tiempos de respuesta cortos.

ZeBu Empower también alimenta bloques críticos de energía y ventanas de tiempo en el Motor Synopsys PrimePower para acelerar el análisis de potencia RTL y la desconexión de potencia a nivel de puerta. Tanto ZeBu Empower como PrimePower son parte del Solución de bajo consumo impulsada por software Synopsys. En el diagrama siguiente, la solución de bajo consumo proporciona un flujo y una metodología de un extremo a otro que abarca desde el análisis de la arquitectura hasta el análisis de la energía RTL en bloque y el análisis y la optimización de la energía del SoC.

ZeBu Empower diagrama rtl

La solución de bajo consumo impulsada por el software Synopsys está diseñada para ayudar a reducir el consumo de energía estático y dinámico general de los circuitos integrados.

Resumen

La potencia podría ser la parte más desafiante de la ecuación de potencia, rendimiento y área (PPA). Y cuando se trata de diseños de miles de millones de puertas, la complejidad para lograr perfiles de energía precisos solo aumenta. Sin embargo, con la solución de emulación de potencia rápida de Synopsys, los equipos de diseño ahora pueden encontrar las regiones críticas y las ventanas de tiempo para la potencia máxima, de modo que puedan optimizar su RTL y su software. Al aprovechar el flujo completo de bajo consumo de Synopsys, los diseñadores obtienen herramientas que pueden ayudarlos a cumplir sus objetivos de PPA. Dadas las grandes cargas de trabajo y las demandas de rendimiento de aplicaciones como GPU, IA, 5G, centros de datos y dispositivos móviles, cualquier solución que pueda proporcionar una imagen de potencia más precisa debería ser una adición bienvenida al conjunto de herramientas de PPA de cualquier diseñador.

Comparte esta publicación a través de: Fuente: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

Sello de tiempo:

Mas de Semiwiki