Ottimizza RTL e software con risultati di verifica rapida dell'alimentazione per progetti Billion-Gate

Nodo di origine: 994042

In ogni chip, la potenza è un problema progressivo da risolvere. I progettisti hanno dovuto a lungo fare affidamento su una combinazione di esperienza e conoscenza per affrontare questo dilemma, dovendo in genere attendere fino alla disponibilità del silicio per eseguire l'analisi della potenza con carichi di lavoro software realistici. Tuttavia, questo è troppo tardi nel gioco, poiché diventa una proposta costosa e dispendiosa in termini di tempo per risolvere i problemi di alimentazione dopo il silicio. In questo post del blog, spiegherò come ottenere risultati di verifica dell'alimentazione attuabili in poche ore su progetti con miliardi di gate nella fase iniziale. Con questa capacità, puoi trovare le regioni critiche e le finestre temporali per la potenza di picco e, quindi, ottimizzare il tuo RTL e il tuo software.

L'esecuzione dell'analisi della potenza dopo il silicio introduce il rischio di perdere situazioni critiche ad alta potenza, che possono creare notevoli problemi di costi e di adozione del prodotto. Gli svantaggi di sbagliare sul potere? Un cliente potrebbe scegliere di rivolgersi a un altro fornitore di chip se un progetto non raggiunge l'obiettivo di potenza promesso. Oppure, un progettista di sistema potrebbe essere costretto a ridurre le prestazioni del chip per mantenere l'inviluppo di potenza mirato, un compromesso sfavorevole nelle applicazioni che si basano su prestazioni di calcolo veloci. In questo post, originariamente pubblicato sul Blog “Dal silicio al software”., daremo un'occhiata più da vicino ad alcune aree di applicazione del SoC in cui è essenziale un'analisi della potenza accurata.

GPU

Le applicazioni GPU tradizionali sono entità note al confronto, ma ciò non semplifica l'attività di analisi della potenza. Considera una GPU progettata per un computer portatile. È possibile eseguire l'analisi della potenza in determinati punti di misurazione per un periodo di tempo. Tuttavia, con un potenziale massimo di 10 milioni di cicli di clock, questo approccio chiaramente non è esaustivo, motivo per cui i progettisti tradizionalmente hanno dovuto fare affidamento sulle loro migliori stime per la potenza.

Intelligenza Artificiale

Nei chip di intelligenza artificiale (AI), le applicazioni e lo stack software per le applicazioni e le architetture di intelligenza artificiale sono tutti un nuovo territorio, che pone più sfide dal punto di vista del profilo di potenza. Tuttavia, i potenziali vantaggi dell'ottimizzazione delle applicazioni di intelligenza artificiale per il potere sono grandi. L'efficienza energetica, dopo tutto, è un vantaggio che i progettisti di chip di intelligenza artificiale vorrebbero essere in grado di pubblicizzare, insieme a prestazioni di calcolo veloci.

5G

Un'altra applicazione critica dal punto di vista energetico è 5G, che si basa su prestazioni elevate e bassa latenza. Le applicazioni 5G comportano molta elaborazione parallela e alte frequenze, ma, con solo così tanta potenza disponibile, devono essere ottimizzate per funzionare in modo efficiente. Ciò è particolarmente vero per i chip delle testine radio.

data Center

I data center, in particolare i data center iperscalabili, sono costruiti su chip velocissimi ed efficienti dal punto di vista energetico che possono aiutare a massimizzare il throughput totale del sistema. Con miliardi di porte e carichi di lavoro software complessi, i SoC dei data center sono dotati di requisiti di verifica e attivazione del software particolarmente severi.

Mobile

Dato il loro fattore di forma compatto e la lunga durata della batteria desiderata, i dispositivi mobili come gli smartphone non possono permettersi di utilizzare chip che consumano troppa energia. Sebbene i loro carichi di lavoro siano aumentati in complessità, questi dispositivi, anche le GPU assetate di energia, devono comunque essere in grado di gestire questi carichi di lavoro in modo efficiente.

Come un emulatore di potenza veloce risolve la sfida del profilo di potenza

Poiché soddisfare i requisiti di alimentazione dinamica diventa sempre più difficile, i progettisti di chip spesso considerano l'alimentazione la loro principale sfida di verifica. La verifica della potenza dinamica richiede la ricerca della potenza di picco. Tuttavia, gli eventi critici di picco di potenza sono guidati da carichi di lavoro software effettivi. La simulazione può identificare la potenza di picco che rientra oltre che al di sotto del budget di potenza, ma nei progetti con miliardi di gate, sarà in grado di catturare gli eventi critici reali solo per pura fortuna, poiché le finestre che un approccio basato sulla simulazione può considerare sono troppo piccolo. Uno strumento di approvazione fornirebbe misurazioni di potenza accurate, ma se viene utilizzato nella finestra temporale sbagliata, il progettista non sarebbe in grado di determinare quale finestra ha la potenza più alta.

L'identificazione di bug a basso consumo richiede l'esecuzione di carichi di lavoro software. Piccoli test non esporranno bug di alimentazione basati sul carico di lavoro realistici. Quello che serve è:

  • Firmware reale e sistema operativo al test pre-silicio
  • Emulazione per verificare la potenza su milioni o miliardi di cicli
  • Verifica della potenza pre-silicio per il debug, che non è possibile con il silicio reale

L'emulazione ad alta velocità consente ai team di progettazione di eseguire la verifica dell'alimentazione nelle prime fasi del ciclo di progettazione, in modo da ridurre al minimo i rischi di bug di alimentazione e di mancato raggiungimento degli obiettivi di alimentazione del SoC. In effetti, un emulatore di potenza veloce può essere la risposta al dilemma di verifica della potenza hardware/software, fornendo una migliore precisione in una finestra più ampia. L'emulatore ideale sarebbe in grado di eseguire più iterazioni al giorno su progetti di grandi dimensioni con carichi di lavoro realistici. In questo modo, i progettisti di chip possono ottenere informazioni utili sul profilo di potenza dei loro progetti.

Approfondimenti fruibili in poche ore

Con in mente carichi di lavoro SoC multimiliardari, Synopsys ha presentato il suo nuovo sistema di emulazione Synopsys ZeBu® Empower per la verifica dell'alimentazione hardware/software. Offrendo le massime prestazioni di calcolo, Potere ZeBu può eseguire più iterazioni al giorno, fornendo risultati fruibili in poche ore. Sulla base dei profili di potenza risultanti, i progettisti di hardware e software possono, sin dall'inizio, identificare le aree in cui possono migliorare la potenza dinamica e di dispersione. ZeBu Empower utilizza Server Zebu tecnologia hardware di emulazione veloce per fornire tempi di risposta brevi.

ZeBu Empower trasmette anche blocchi critici per l'alimentazione e finestre temporali nel Sinossi Motore PrimePower per accelerare l'analisi della potenza RTL e la disconnessione dell'alimentazione a livello di gate. Sia ZeBu Empower che PrimePower fanno parte del Soluzione a basso consumo basata su software Synopsys. Nella figura del diagramma seguente, la soluzione a basso consumo fornisce un flusso e una metodologia end-to-end che vanno dall'analisi dell'architettura all'analisi della potenza RTL a blocchi fino all'analisi e ottimizzazione della potenza SoC.

Schema ZeBu Empower rtl

La soluzione a basso consumo basata su software Synopsys è progettata per ridurre il consumo energetico dinamico e statico complessivo dei circuiti integrati.

In breve

La potenza potrebbe essere solo la parte più impegnativa dell'equazione potenza, prestazioni e area (PPA). E quando si tratta di progetti multimiliardari, la complessità nel raggiungimento di profili di potenza accurati non fa che aumentare. Tuttavia, con la soluzione di emulazione di potenza rapida di Synopsys, i team di progettazione possono ora trovare le regioni critiche e le finestre temporali per il picco di potenza, in modo da poter ottimizzare il proprio RTL e il proprio software. Sfruttando il flusso completo a bassa potenza di Synopsys, i progettisti ottengono strumenti che possono aiutarli a raggiungere i loro obiettivi PPA. Dati i carichi di lavoro pesanti e le richieste di prestazioni di applicazioni come GPU, AI, 5G, data center e dispositivi mobili, qualsiasi soluzione in grado di fornire un quadro energetico più accurato dovrebbe essere un'aggiunta gradita al toolkit PPA di qualsiasi progettista.

Condividi questo post tramite: Fonte: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

Timestamp:

Di più da Semiwiki