ビリオンゲート設計の高速電力検証結果により RTL とソフトウェアを最適化

ソースノード: 994042

どのチップにおいても、電力は解決すべき漸進的な問題です。 設計者は長い間、このジレンマに対処するために経験と知識の組み合わせに依存する必要があり、通常、現実的なソフトウェア ワークロードで電力解析を実行するにはシリコンが入手可能になるまで待つ必要がありました。 しかし、シリコン後の電力問題を解決するにはコストと時間がかかるため、これでは手遅れです。 このブログ投稿では、早い段階で XNUMX 億ゲートの設計で実用的な電力検証結果を数時間で達成する方法について説明します。 この機能を使用すると、ピーク電力の重要な領域と時間枠を見つけて、RTL とソフトウェアを最適化できます。

シリコン後の電力解析を実行すると、重要な高電力状況を見逃すリスクが生じ、コストと製品導入に重大な問題が生じる可能性があります。 権力について間違っていることのマイナス面は? 設計が約束された電力目標を達成できない場合、顧客は別のチップベンダーを選択する可能性があります。 あるいは、システム設計者は、目標の電力エンベロープを維持するためにバック チップのパフォーマンスを調整する必要があるかもしれません。これは、高速なコンピューティング パフォーマンスに依存するアプリケーションでは不利なトレードオフです。 最初に公開されたこの投稿では、 「シリコンからソフトウェアへ」ブログでは、正確な電力分析が不可欠ないくつかの SoC アプリケーション分野について詳しく見ていきます。

GPU

それに比べて、従来の GPU アプリケーションは既知のエンティティですが、だからといって電力解析タスクが簡単になるわけではありません。 ラップトップ コンピューター用に設計された GPU を考えてみましょう。 一定期間にわたって特定の測定ポイントで電力解析を実行できます。 ただし、最大 10 万クロック サイクルの可能性があるため、このアプローチは明らかに網羅的ではありません。そのため、設計者は従来、消費電力の最良の見積もりに頼らなければなりませんでした。

Artificial Intelligence

人工知能 (AI) チップでは、アプリケーションと AI アプリケーションおよびアーキテクチャのソフトウェア スタックはすべて新しい領域であり、電力プロファイリングの観点からはさらに多くの課題が生じます。 それでも、AI アプリケーションの能力を最適化することで得られる潜在的なメリットは大きいです。 結局のところ、電力効率は、高速な計算パフォーマンスとともに AI チップ設計者が宣伝したい利点です。

5G

電力が重要なもう 5 つのアプリケーションは 5G です。これは、高性能と低遅延がすべてです。 XNUMXG アプリケーションには多くの並列処理と高周波が含まれますが、利用できる電力には限りがあるため、効率的に実行できるように最適化する必要があります。 これは特にラジオ ヘッド チップに当てはまります。

データセンター

データセンター、特にハイパースケール データセンターは、システム全体のスループットを最大化するのに役立つ、超高速でエネルギー効率の高いチップ上に構築されています。 数十億のゲートと複雑なソフトウェア ワークロードを備えたデータセンター SoC には、特に厳しい検証とソフトウェアの立ち上げ要件が伴います。

モバイル

コンパクトなフォームファクタと望ましい長いバッテリ寿命を考慮すると、スマートフォンなどのモバイルデバイスは、電力を消費しすぎるチップを使用する余裕はありません。 ワークロードは複雑化していますが、これらのデバイスは、電力を大量に消費する GPU であっても、これらのワークロードに効率的に電力を供給できなければなりません。

高速電源エミュレータが電力プロファイリングの課題を解決する方法

動的な電力要件を満たすことがますます困難になるにつれて、チップ設計者は電力が検証の最大の課題であると考えることがよくあります。 動的電力検証では、ピーク電力を見つける必要があります。 ただし、重要なピーク電力イベントは実際のソフトウェア ワークロードによって引き起こされます。 シミュレーションでは、電力バジェットを上回るピーク電力と電力バジェットを下回るピーク電力を特定できますが、XNUMX億ゲート設計では、シミュレーションベースのアプローチで考慮できるウィンドウが広すぎるため、実際の重大なイベントを捉えることは完全に運によってのみ可能です。小さい。 サインオフ ツールは正確な電力測定値を提供しますが、それが間違った時間ウィンドウで使用されると、設計者はどのウィンドウが最も高い電力を持つかを判断できなくなります。

低電力バグを特定するには、ソフトウェア ワークロードを実行する必要があります。 小規模なテストでは、現実的なワークロード主導の電力バグは明らかになりません。 必要なものは次のとおりです。

  • シリコン前のテストにおける実際のファームウェアとオペレーティング システム
  • 数百万または数十億サイクルにわたって電力を検証するエミュレーション
  • 実際のシリコンでは不可能なデバッグ用のプレシリコン電力検証

高速エミュレーションにより、設計チームは設計サイクルの早い段階で電力検証を実行できるため、電力バグや SoC 電力目標の未達のリスクを最小限に抑えることができます。 実際、高速電源エミュレータは、ハードウェアとソフトウェアの電源検証のジレンマに対する答えとなり、より広い範囲にわたってより高い精度を提供します。 理想的なエミュレータは、現実的なワークロードを持つ大規模なデザインで XNUMX 日に複数回の反復を実行できるものです。 そうすることで、チップ設計者は設計の電力プロファイルについて実用的な洞察を得ることができます。

数時間で実用的な洞察を得る

数十億ゲートの SoC ワークロードを念頭に置いて、 シノプシスは、ハードウェア/ソフトウェア電力検証用の新しい Synopsys ZeBu® Empower エミュレーション システムを発表しました。 最大のコンピューティング パフォーマンスを提供し、 ZeBuエンパワー XNUMX 日に複数回の反復を実行し、数時間で実用的な結果を得ることができます。 結果として得られる電力プロファイルに基づいて、ハードウェアおよびソフトウェアの設計者は、動的電力と漏れ電力を改善できる領域を早期に特定できます。 ZeBu Empower が利用するのは ZeBuサーバー 高速エミュレーション ハードウェア テクノロジにより、短いターンアラウンド タイムが実現します。

ZeBu Empower は、電力クリティカルなブロックと時間ウィンドウもフィードフォワードします。 シノプシス PrimePower エンジン RTL 電力解析とゲートレベルの電力サインオフを加速します。 ZeBu Empower と PrimePower は両方とも、 シノプシスのソフトウェア主導の低電力ソリューション。 以下の図に示されている低電力ソリューションは、アーキテクチャ分析からブロック RTL 電力分析、SoC 電力分析と最適化に至るエンドツーエンドのフローと方法論を提供します。

ZeBu Empower ダイアグラム rtl

シノプシスのソフトウェア駆動の低電力ソリューションは、IC の全体的な動的および静的電力消費を削減できるように設計されています。

まとめ

電力は、電力、パフォーマンス、面積 (PPA) の方程式の中で最も難しい部分かもしれません。 そして、数十億ゲートの設計となると、正確な電力プロファイルを実現する際の複雑さは増すばかりです。 しかし、シノプシスの高速電力エミュレーション ソリューションを使用すると、設計チームはピーク電力の重要な領域と時間枠を見つけることができるため、RTL とソフトウェアを最適化できます。 シノプシスの包括的な低電力フローを利用することで、設計者は PPA 目標の達成に役立つツールを入手できます。 GPU、AI、5G、データセンター、モバイルなどのアプリケーションの重いワークロードとパフォーマンス要求を考慮すると、より正確な電力状況を提供できるソリューションは、設計者の PPA ツールキットへの追加として歓迎されるはずです。

この投稿を共有する: 出典: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

タイムスタンプ:

より多くの セミウィキ