Billion-Gate 설계를 위한 빠른 전력 검증 결과로 RTL 및 소프트웨어 최적화

소스 노드 : 994042

모든 칩에서 전력은 해결해야 할 진보적인 문제입니다. 설계자는 이러한 딜레마를 해결하기 위해 오랫동안 경험과 지식의 조합에 의존해야 했으며, 일반적으로 현실적인 소프트웨어 워크로드로 전력 분석을 수행하려면 실리콘 가용성 이후까지 기다려야 했습니다. 그러나 이것은 실리콘 이후의 전력 문제를 해결하기 위해 비용과 시간이 많이 소요되는 제안이 되기 때문에 게임에서 너무 늦었습니다. 이 블로그 게시물에서는 초기에 XNUMX억 게이트 설계에서 실행 가능한 전력 검증 결과를 몇 시간 내에 달성할 수 있는 방법을 설명합니다. 이 기능을 사용하면 피크 전력에 대한 중요한 영역과 시간 창을 찾을 수 있으므로 RTL과 소프트웨어를 최적화할 수 있습니다.

실리콘 이후에 전력 분석을 수행하면 중요한 고전력 상황을 놓칠 위험이 있으며, 이로 인해 상당한 비용 및 제품 채택 문제가 발생할 수 있습니다. 권력에 대한 잘못된 생각의 단점은? 설계가 약속된 전력 목표를 놓치는 경우 고객은 다른 칩 공급업체를 선택할 수 있습니다. 또는 시스템 설계자는 목표 전력 엔벨로프를 유지하기 위해 칩 성능을 되돌려야 할 수도 있습니다. 이는 빠른 컴퓨팅 성능에 의존하는 애플리케이션에서 불리한 절충안입니다. 본 포스팅은 원래 네이버에 올라온 "실리콘에서 소프트웨어로" 블로그, 정확한 전력 분석이 필수적인 일부 SoC 응용 분야를 자세히 살펴보겠습니다.

GPU

기존의 GPU 애플리케이션은 상대적으로 알려진 엔터티이지만 전력 분석 작업이 더 쉬워지는 것은 아닙니다. 랩탑 컴퓨터용으로 설계된 GPU를 고려하십시오. 일정 기간 동안 특정 측정 지점에서 전력 분석을 실행할 수 있습니다. 그러나 잠재적으로 최대 10만 개의 클록 주기가 있는 이 접근 방식은 완전하지 않습니다. 이것이 바로 설계자가 전통적으로 전력에 대한 최상의 추정치에 의존해야 했던 이유입니다.

인공 지능

인공 지능(AI) 칩에서 AI 애플리케이션 및 아키텍처용 소프트웨어 스택은 물론 애플리케이션은 모두 새로운 영역이며 전력 프로파일링 관점에서 더 많은 문제를 제기합니다. 그러나 전력에 대한 AI 애플리케이션 최적화의 잠재적인 보상은 엄청납니다. 결국 전력 효율성은 AI 칩 설계자가 빠른 컴퓨팅 성능과 함께 자랑하고 싶어하는 이점입니다.

5G

전력이 중요한 또 다른 애플리케이션은 5G로, 고성능과 짧은 대기 시간이 핵심입니다. 5G 애플리케이션은 많은 병렬 처리와 고주파수를 포함하지만 사용 가능한 전력이 너무 많기 때문에 효율적으로 실행되도록 최적화해야 합니다. 이것은 특히 무선 헤드 칩에 해당됩니다.

데이터 센터

데이터 센터, 특히 하이퍼스케일 데이터 센터는 총 시스템 처리량을 최대화하는 데 도움이 될 수 있는 번개처럼 빠르고 에너지 효율적인 칩에 구축됩니다. 복잡한 소프트웨어 워크로드와 함께 수십억 개의 게이트가 있는 데이터 센터 SoC에는 특히 까다로운 검증 및 소프트웨어 가동 요구 사항이 있습니다.

모바일

소형 폼 팩터와 원하는 긴 배터리 수명을 고려할 때 스마트폰과 같은 모바일 장치는 너무 많은 전력을 소비하는 칩을 사용할 여유가 없습니다. 워크로드가 복잡해졌지만 전력 소모가 많은 GPU를 포함한 이러한 장치는 여전히 이러한 워크로드를 전력 효율적으로 수용할 수 있어야 합니다.

고속 전력 에뮬레이터가 전력 프로파일링 문제를 해결하는 방법

동적 전력 요구 사항을 충족하는 것이 점점 더 어려워짐에 따라 칩 설계자는 종종 전력을 최고의 검증 과제로 간주합니다. 동적 전력 검증에는 피크 전력을 찾아야 합니다. 그러나 중요한 피크 전력 이벤트는 실제 소프트웨어 워크로드에 의해 발생합니다. 시뮬레이션은 전력 예산을 초과하거나 미달하는 피크 전력을 식별할 수 있지만 XNUMX억 게이트 설계에서는 시뮬레이션 기반 접근 방식이 고려할 수 있는 창이 너무 많기 때문에 순전히 운에 의해서만 실제 중요한 이벤트를 포착할 수 있습니다. 작은. 사인오프 도구는 정확한 전력 측정을 제공하지만 잘못된 시간 창에서 사용되는 경우 설계자는 어느 창에 가장 높은 전력이 있는지 확인할 수 없습니다.

저전력 버그를 식별하려면 소프트웨어 워크로드를 실행해야 합니다. 소규모 테스트는 실제 워크로드 중심 전원 버그를 노출하지 않습니다. 필요한 것은 다음과 같습니다.

  • 사전 실리콘 테스트의 실제 펌웨어 및 운영 체제
  • 수백만 또는 수십억 사이클에 걸쳐 전력을 검증하기 위한 에뮬레이션
  • 실제 실리콘으로는 불가능한 디버그를 위한 사전 실리콘 전력 검증

고속 에뮬레이션을 통해 설계 팀은 설계 주기 초기에 전력 검증을 수행할 수 있으므로 전력 버그 및 누락된 SoC 전력 목표의 위험을 최소화할 수 있습니다. 실제로 빠른 전력 에뮬레이터는 하드웨어/소프트웨어 전력 검증 딜레마에 대한 답이 될 수 있으며 더 넓은 범위에서 더 나은 정확도를 제공합니다. 이상적인 에뮬레이터는 실제 워크로드로 대규모 설계에서 하루에 여러 번 반복 실행할 수 있습니다. 이를 통해 칩 설계자는 설계의 전력 프로필에 대한 실행 가능한 통찰력을 얻을 수 있습니다.

몇 시간 만에 실행 가능한 통찰력

수십억 게이트 SoC 워크로드를 염두에 두고 Synopsys는 하드웨어/소프트웨어 전력 검증을 위한 새로운 Synopsys ZeBu® Empower 에뮬레이션 시스템을 발표했습니다.. 최대 컴퓨팅 성능 제공, ZeBu 권한 부여 하루에 여러 번 반복을 수행하여 실행 가능한 결과를 몇 시간 안에 제공할 수 있습니다. 결과 전력 프로파일을 기반으로 하드웨어 및 소프트웨어 설계자는 초기에 동적 및 누설 전력을 개선할 수 있는 영역을 식별할 수 있습니다. ZeBu Empower는 ZeBu 서버 빠른 에뮬레이션 하드웨어 기술로 짧은 처리 시간을 제공합니다.

ZeBu Empower는 또한 전력에 중요한 블록과 시간 창을 Synopsys PrimePower 엔진 RTL 전력 분석 및 게이트 레벨 전력 사인오프를 가속화합니다. ZeBu Empower와 PrimePower는 모두 Synopsys 소프트웨어 기반 저전력 솔루션. 아래 다이어그램에 나와 있는 저전력 솔루션은 아키텍처 분석에서 블록 RTL 전력 분석, SoC 전력 분석 및 최적화에 이르는 종단 간 흐름과 방법론을 제공합니다.

ZeBu Empower 다이어그램 rtl

Synopsys 소프트웨어 기반 저전력 솔루션은 IC의 전체 동적 및 정적 전력 소비를 줄이는 데 도움이 되도록 설계되었습니다.

요약

전력은 전력, 성능 및 면적(PPA) 방정식에서 가장 어려운 부분일 수 있습니다. 그리고 수십억 게이트 설계의 경우 정확한 전력 프로필을 달성하는 데 복잡성이 커질 뿐입니다. 그러나 Synopsys의 빠른 전력 에뮬레이션 솔루션을 통해 설계 팀은 이제 최대 전력에 대한 중요한 지역과 시간대를 찾을 수 있으므로 RTL과 소프트웨어를 최적화할 수 있습니다. 포괄적인 Synopsys 저전력 흐름을 활용함으로써 설계자는 PPA 목표를 충족하는 데 도움이 되는 도구를 얻을 수 있습니다. GPU, AI, 5G, 데이터 센터 및 모바일과 같은 애플리케이션의 과도한 작업 부하 및 성능 요구 사항을 고려할 때 보다 정확한 전력 상황을 제공할 수 있는 솔루션은 모든 설계자의 PPA 툴킷에 환영할만한 추가 사항이 되어야 합니다.

다음을 통해이 게시물 공유 : 출처: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

타임 스탬프 :

더보기 세미위키