Zoptymalizuj RTL i oprogramowanie dzięki wynikom szybkiej weryfikacji mocy dla projektów miliardowych bramek

Węzeł źródłowy: 994042

W każdym chipie moc jest postępującym problemem, który należy rozwiązać. Aby stawić czoła temu dylematowi, projektanci od dawna musieli polegać na połączeniu doświadczenia i wiedzy, zazwyczaj czekając do dostępności krzemu, aby przeprowadzić analizę mocy przy realistycznym obciążeniu oprogramowania. Jest już jednak na to za późno, ponieważ rozwiązanie problemów z zasilaniem po zastosowaniu krzemu staje się kosztowną i czasochłonną propozycją. W tym poście na blogu wyjaśnię, w jaki sposób można wcześnie uzyskać przydatne wyniki weryfikacji mocy w ciągu kilku godzin w projektach z miliardami bramek. Dzięki tej możliwości można znaleźć krytyczne regiony i okna czasowe mocy szczytowej, a tym samym zoptymalizować RTL i oprogramowanie.

Wykonywanie analizy mocy po zastosowaniu krzemu wiąże się z ryzykiem przeoczenia krytycznych sytuacji związanych z dużą mocą, co może spowodować znaczne koszty i problemy z przyjęciem produktu. Wady błędnego określenia mocy? Klient może zdecydować się na współpracę z innym dostawcą chipów, jeśli projekt nie zapewnia obiecanej docelowej mocy. Lub projektant systemu może być zmuszony zmniejszyć wydajność chipa, aby utrzymać docelową obwiednię mocy – co jest niekorzystnym kompromisem w zastosowaniach wymagających dużej wydajności obliczeniowej. W tym poście, który pierwotnie został opublikowany na Blog „Od krzemu do oprogramowania”., przyjrzymy się bliżej niektórym obszarom zastosowań SoC, w których niezbędna jest dokładna analiza mocy.

GPU

Tradycyjne aplikacje GPU są w porównaniu z nimi znanymi jednostkami, ale to nie ułatwia zadania analizy mocy. Rozważmy procesor graficzny przeznaczony do laptopa. Można przeprowadzić analizę mocy w określonych punktach pomiarowych w określonym czasie. Jednak biorąc pod uwagę potencjalnie do 10 milionów cykli zegara, podejście to z pewnością nie jest wyczerpujące i dlatego projektanci tradycyjnie musieli polegać na najlepszych szacunkach mocy.

Artificial Intelligence

W przypadku chipów sztucznej inteligencji (AI) aplikacje, a także stos oprogramowania dla aplikacji i architektur AI to zupełnie nowe terytorium, które stwarza więcej wyzwań z punktu widzenia profilowania mocy. Jednak potencjalne korzyści wynikające z optymalizacji aplikacji AI pod kątem wydajności są ogromne. W końcu wydajność energetyczna to zaleta, którą projektanci chipów AI chcieliby móc zachwalać, wraz z dużą wydajnością obliczeniową.

5G

Inną aplikacją o krytycznym znaczeniu dla zasilania jest sieć 5G, w której najważniejsza jest wysoka wydajność i niskie opóźnienia. Zastosowania 5G wiążą się z dużą ilością przetwarzania równoległego i wysokimi częstotliwościami, ale przy ograniczonej dostępnej mocy muszą być zoptymalizowane, aby działały wydajnie. Jest to szczególnie prawdziwe w przypadku chipów głowicy radiowej.

Centra przetwarzania danych

Centra danych, zwłaszcza hiperskalowe, zbudowane są na błyskawicznych, energooszczędnych chipach, które mogą pomóc zmaksymalizować całkowitą przepustowość systemu. Dzięki miliardom bramek i złożonemu obciążeniu programowemu, układy SoC w centrach danych mają szczególnie wysokie wymagania w zakresie weryfikacji i aktualizacji oprogramowania.

Aplikacje mobilne

Biorąc pod uwagę ich kompaktową obudowę i pożądaną długą żywotność baterii, urządzenia mobilne, takie jak smartfony, nie mogą sobie pozwolić na stosowanie chipów zużywających zbyt dużo energii. Chociaż ich obciążenia stają się coraz bardziej złożone, urządzenia te — nawet energochłonne procesory graficzne — muszą nadal być w stanie efektywnie obsługiwać te obciążenia.

Jak szybki emulator mocy rozwiązuje problem profilowania mocy

Ponieważ spełnienie wymagań dotyczących mocy dynamicznej staje się coraz trudniejsze, projektanci chipów często uważają, że zasilanie jest ich głównym wyzwaniem weryfikacyjnym. Dynamiczna weryfikacja mocy wymaga znalezienia mocy szczytowej. Jednak krytyczne zdarzenia dotyczące mocy szczytowej są spowodowane rzeczywistym obciążeniem oprogramowania. Symulacja może zidentyfikować moc szczytową, która spada zarówno powyżej, jak i poniżej budżetu mocy, ale w projektach z miliardami bramek rzeczywiste krytyczne zdarzenia będzie w stanie uchwycić tylko dzięki szczęściu, ponieważ okna, które może uwzględnić podejście oparte na symulacji, są o wiele za duże mały. Narzędzie do podpisywania zapewni dokładne pomiary mocy, ale jeśli zostanie użyte w niewłaściwym oknie czasowym, projektant nie będzie w stanie określić, które okno ma najwyższą moc.

Identyfikowanie błędów wymagających małej mocy wymaga uruchomienia obciążeń oprogramowania. Małe testy nie ujawnią realistycznych błędów zasilania spowodowanych obciążeniem. Potrzebne jest:

  • Prawdziwe oprogramowanie sprzętowe i system operacyjny podczas testów przed krzemem
  • Emulacja w celu sprawdzenia mocy przez miliony lub miliardy cykli
  • Wstępna weryfikacja mocy krzemu na potrzeby debugowania, co nie jest możliwe w przypadku rzeczywistego krzemu

Szybka emulacja umożliwia zespołom projektowym przeprowadzenie weryfikacji mocy na wcześniejszym etapie cyklu projektowania, dzięki czemu mogą zminimalizować ryzyko błędów w zasilaniu i nieosiągnięcia celów mocy SoC. Rzeczywiście, szybki emulator mocy może być odpowiedzią na dylemat weryfikacji mocy sprzętu/oprogramowania, zapewniając lepszą dokładność w szerszym oknie. Idealny emulator byłby w stanie wykonywać wiele iteracji dziennie na dużych projektach z realistycznym obciążeniem. W ten sposób projektanci chipów mogą uzyskać praktyczny wgląd w profil mocy swoich projektów.

Przydatne spostrzeżenia w ciągu kilku godzin

Mając na uwadze wielomiliardowe obciążenia SoC, Firma Synopsys zaprezentowała nowy system emulacji Synopsys ZeBu® Empower do weryfikacji mocy sprzętu/oprogramowania. Zapewniając maksymalną wydajność obliczeniową, Moc ZeBu może wykonywać wiele iteracji dziennie, zapewniając praktyczne wyniki w ciągu kilku godzin. Na podstawie uzyskanych profili mocy projektanci sprzętu i oprogramowania mogą już na wczesnym etapie zidentyfikować obszary, w których mogą poprawić moc dynamiczną i moc upływu. ZeBu Empower wykorzystuje Serwer ZeBu technologia sprzętowa szybkiej emulacji zapewniająca krótki czas realizacji.

ZeBu Empower przesyła również bloki o krytycznym znaczeniu dla mocy i okna czasowe do Silnik Synopsys PrimePower w celu przyspieszenia analizy mocy RTL i zatwierdzania mocy na poziomie bramki. Zarówno ZeBu Empower, jak i PrimePower są częścią Oparte na oprogramowaniu rozwiązanie Synopsys o niskim poborze mocy. Przedstawione na poniższym schemacie rozwiązanie o niskim poborze mocy zapewnia kompleksowy przepływ i metodologię, rozciągającą się od analizy architektury, przez analizę mocy bloków RTL, po analizę i optymalizację mocy SoC.

Schemat ZeBu Empower rtl

Oparte na oprogramowaniu rozwiązanie Synopsys o niskim poborze mocy zostało zaprojektowane, aby pomóc zmniejszyć ogólne dynamiczne i statyczne zużycie energii przez układy scalone.

Podsumowanie

Moc może być najtrudniejszą częścią równania mocy, wydajności i powierzchni (PPA). A w przypadku projektów obejmujących wiele miliardów bramek złożoność uzyskania dokładnych profili mocy staje się coraz większa. Jednak dzięki szybkiemu rozwiązaniu do emulacji mocy firmy Synopsys zespoły projektowe mogą teraz znaleźć krytyczne regiony i okna czasowe dla mocy szczytowej, dzięki czemu mogą zoptymalizować RTL i oprogramowanie. Korzystając z wszechstronnego przepływu małej mocy Synopsys, projektanci zyskują narzędzia, które mogą pomóc im osiągnąć cele PPA. Biorąc pod uwagę duże obciążenia i wymagania dotyczące wydajności aplikacji, takich jak procesory graficzne, sztuczna inteligencja, 5G, centra danych i urządzenia mobilne, każde rozwiązanie, które może zapewnić dokładniejszy obraz zasilania, powinno być mile widzianym dodatkiem do zestawu narzędzi PPA każdego projektanta.

Udostępnij ten post przez: Źródło: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

Znak czasu:

Więcej z Półwiki