Otimize RTL e software com resultados rápidos de verificação de energia para projetos de bilhões de portas

Nó Fonte: 994042

Em cada chip, a potência é um problema progressivo a ser resolvido. Há muito tempo que os projetistas dependem de uma combinação de experiência e conhecimento para enfrentar esse dilema, normalmente tendo que esperar até a disponibilidade do silício para realizar análises de potência com cargas de trabalho de software realistas. No entanto, isso é tarde demais, pois se torna uma proposta cara e demorada para resolver problemas de energia pós-silício. Nesta postagem do blog, explicarei como você pode obter resultados acionáveis ​​de verificação de energia em horas em projetos de bilhões de portas desde o início. Com esse recurso, você pode encontrar as regiões críticas e as janelas de tempo para pico de potência e, assim, otimizar seu RTL e software.

A realização de análises de potência pós-silício apresenta o risco de perder situações críticas de alta potência, o que pode criar problemas significativos de custos e de adoção de produtos. As desvantagens de estar errado sobre o poder? Um cliente pode optar por outro fornecedor de chips se um projeto não atingir a meta de energia prometida. Ou um projetista de sistema pode ser forçado a reduzir o desempenho do chip para manter o envelope de energia desejado – uma compensação desfavorável em aplicativos que dependem de desempenho de computação rápido. Neste post, publicado originalmente no Blog “Do Silício ao Software”, examinaremos mais de perto algumas áreas de aplicação do SoC onde a análise precisa de potência é essencial.

GPUs

Os aplicativos de GPU tradicionais são entidades conhecidas em comparação, mas isso não torna a tarefa de análise de potência mais fácil. Considere uma GPU projetada para um laptop. Você pode executar análises de potência em determinados pontos de medição durante um período de tempo. No entanto, com potencialmente até 10 milhões de ciclos de clock, esta abordagem claramente não é exaustiva – razão pela qual os projetistas tradicionalmente tiveram que confiar nas suas melhores estimativas de potência.

Inteligência artificial

Nos chips de inteligência artificial (IA), os aplicativos, bem como a pilha de software para aplicativos e arquiteturas de IA, são territórios novos, o que representa mais desafios do ponto de vista do perfil de potência. No entanto, as recompensas potenciais da otimização das aplicações de IA para energia são grandes. Afinal, a eficiência energética é uma vantagem que os projetistas de chips de IA adorariam poder divulgar, junto com o desempenho computacional rápido.

5G

Outra aplicação crítica de energia é o 5G, que envolve alto desempenho e baixa latência. As aplicações 5G envolvem muito processamento paralelo e altas frequências, mas, com apenas uma certa quantidade de energia disponível, devem ser otimizadas para funcionarem de forma eficiente. Isto é particularmente verdadeiro para chips de cabeça de rádio.

Central de dados

Os data centers, especialmente os data centers de hiperescala, são construídos com chips extremamente rápidos e com eficiência energética que podem ajudar a maximizar o rendimento total do sistema. Com bilhões de portas junto com cargas de trabalho de software complexas, os SoCs de data center vêm com requisitos particularmente exigentes de verificação e atualização de software.

Móvel Esteira

Dado o seu formato compacto e a desejada longa duração da bateria, dispositivos móveis como smartphones não podem se dar ao luxo de usar chips que consomem muita energia. Embora suas cargas de trabalho tenham aumentado em complexidade, esses dispositivos (até mesmo as GPUs que consomem muita energia) ainda devem ser capazes de acomodar essas cargas de trabalho com eficiência.

Como um Fast Power Emulator resolve o desafio do Power Profiling

À medida que atender aos requisitos de energia dinâmica se torna cada vez mais difícil, os projetistas de chips muitas vezes consideram a energia como seu principal desafio de verificação. A verificação dinâmica de potência requer encontrar a potência de pico. No entanto, eventos críticos de pico de energia são impulsionados por cargas de trabalho reais de software. A simulação pode identificar a potência de pico que fica acima e abaixo do orçamento de energia, mas em projetos de bilhões de portas, ela só será capaz de capturar os eventos críticos reais por pura sorte, já que as janelas que uma abordagem baseada em simulação pode considerar são muito demais. pequeno. Uma ferramenta de aprovação forneceria medições de potência precisas, mas se fosse usada na janela de tempo errada, o projetista não seria capaz de determinar qual janela tem a potência mais alta.

A identificação de bugs de baixo consumo de energia requer a execução de cargas de trabalho de software. Pequenos testes não exporão bugs de energia realistas relacionados à carga de trabalho. O que é necessário é:

  • Firmware e sistema operacional reais em testes pré-silício
  • Emulação para verificar a potência em milhões ou bilhões de ciclos
  • Verificação de energia pré-silício para depuração, o que não é possível com silício real

A emulação de alta velocidade permite que as equipes de projeto realizem a verificação de energia no início do ciclo de projeto, para que possam minimizar os riscos de erros de energia e metas de energia perdidas do SoC. Na verdade, um emulador de energia rápido pode ser a resposta ao dilema de verificação de energia de hardware/software, proporcionando melhor precisão em uma janela mais ampla. O emulador ideal seria capaz de executar múltiplas iterações por dia em projetos grandes com cargas de trabalho realistas. Ao fazer isso, os projetistas de chips podem obter insights práticos sobre o perfil de potência de seus projetos.

Insights acionáveis ​​em horas

Com cargas de trabalho SoC de vários bilhões de portas em mente, A Synopsys revelou seu novo sistema de emulação Synopsys ZeBu® Empower para verificação de energia de hardware/software. Oferecendo desempenho máximo de computação, ZeBu Empoderar pode realizar várias iterações por dia, fornecendo resultados acionáveis ​​em horas. Com base nos perfis de potência resultantes, os projetistas de hardware e software podem, desde o início, identificar áreas onde podem melhorar a potência dinâmica e de fuga. ZeBu Empower utiliza Servidor ZeBu tecnologia de hardware de emulação rápida para fornecer tempos de resposta curtos.

ZeBu Empower também alimenta blocos críticos de energia e janelas de tempo no Motor Synopsys PrimePower para acelerar a análise de potência RTL e a sinalização de potência no nível do portão. Tanto ZeBu Empower quanto PrimePower fazem parte do Solução de baixo consumo de energia orientada por software Synopsys. Retratada no diagrama abaixo, a solução de baixo consumo de energia fornece um fluxo e uma metodologia ponta a ponta que abrangem desde a análise de arquitetura até a análise de energia RTL de bloco até a análise e otimização de energia SoC.

Diagrama ZeBu Empower rtl

A solução de baixo consumo de energia orientada por software da Synopsys foi projetada para ajudar a reduzir o consumo geral de energia dinâmica e estática dos ICs.

Sumário

A potência pode ser apenas a parte mais desafiadora da equação de potência, desempenho e área (PPA). E quando se trata de projetos multibilionários, a complexidade na obtenção de perfis de potência precisos só aumenta. No entanto, com a solução de emulação rápida de energia da Synopsys, as equipes de projeto agora podem encontrar as regiões críticas e as janelas de tempo para pico de potência, para que possam otimizar seu RTL e seu software. Ao aproveitar o fluxo abrangente de baixo consumo de energia da Synopsys, os projetistas ganham ferramentas que podem ajudá-los a atingir suas metas de PPA. Dadas as pesadas cargas de trabalho e as demandas de desempenho de aplicativos como GPUs, IA, 5G, data centers e dispositivos móveis, qualquer solução que possa fornecer uma imagem de energia mais precisa deve ser uma adição bem-vinda ao kit de ferramentas PPA de qualquer designer.

Compartilhe esta postagem via: Fonte: https://semiwiki.com/eda/synopsys/301320-optimize-rtl-and-software-with-fast-power-verification-results-for-billion-gate-designs/

Carimbo de hora:

Mais de Semiwiki